Fabricantes

Intel Innovation 2023: Impulsando a desarrolladores a llevar la IA a todas partes

•Presenta avances en silicio, empaquetamiento y soluciones multi-chiplet. •Revela próxima generación de Xeon, E-core con 288 núcleos y Core Ultra. •Construirá supercomputadora de IA basada en Xeon y aceleradores de hardware Gaudi2 AI. •Se anuncia disponibilidad de Developer Cloud para crear y probar aplicaciones de alto rendimiento como la IA y actualización del kit de herramientas Distribution de OpenVINO.

En la tercera edición de su evento anual Intel Innovation, el fabricante presentó una serie de tecnologías para llevar la Inteligencia Artificial (IA)  a todas partes y hacerla más accesible en todas las áreas de trabajo, desde el cliente y edge, hasta la red y la nube.

«La IA representa un cambio generacional, dando lugar a una nueva era de expansión global en la que la computación es aún más determinante para un mejor futuro para todos», afirmó Pat Gelsinger, CEO de Intel. «Para los desarrolladores, esto crea enormes oportunidades sociales y comerciales para ampliar los límites de lo posible, brindar soluciones a los mayores retos del mundo y mejorar la vida de todas las personas del planeta».

En la presentación inaugural dirigida a desarrolladores, Gelsinger mostró cómo Intel está incorporando las capacidades de IA a sus productos de hardware y haciéndolas accesibles a través de soluciones de software abiertas y de múltiples arquitecturas. También destacó cómo la IA está ayudando a impulsar la «Siliconomía», una economía en crecimiento posible gracias al silicio y el software. En la actualidad, el silicio alimenta una industria de US$ 574 mil millones, la cual, a su vez, impulsa una economía tecnológica mundial valorada en casi US$ 8 billones.

Avances en Silicio, empaquetamiento y soluciones multi-chiplet

El programa de desarrollo de procesos de cinco nodos en cuatro años de Intel avanza a buen ritmo, según Gelsinger, con Intel 7 ya en producción, Intel 4 listo para la fabricación e Intel 3 en camino para finales de este año.

Gelsinger también presentó una oblea  20A con los primeros chips de prueba para su procesador Arrow Lake, que estará disponible para el mercado de computación para cliente en 2024. El 20A será el primer nodo de proceso que incluirá PowerVia, la tecnología de suministro de energía por puerta trasera, y el nuevo diseño de transistor con puerta de acceso perimetral denominado RibbonFET. El 18A, que también se beneficiará de PowerVia y RibbonFET, sigue teniendo su fabricación prevista para inicio en la segunda mitad de 2024.

También Intel anunció nuevos materiales y tecnologías de empaque, como los sustratos de vidrio. Cuando se introduzcan más adelante en esta década, los sustratos de vidrio permitirán seguir escalando los transistores en un paquete para satisfacer la demanda de cargas de trabajo intensivas en datos y de alto rendimiento, como la IA.

Asimismo, la compañía presentó un paquete de chips de prueba desarrollado con Universal Chiplet Interconnect Express (UCIe). La próxima ola de la Ley de Moore vendrá con paquetes de múltiples chips, dijo Gelsinger, y llegará antes si los estándares abiertos pueden reducir la fricción de la integración de IP. El estándar UCIe permitirá que los chips de distintos proveedores trabajen juntos, posibilitando nuevos diseños para la expansión de diversas cargas de trabajo de IA. La especificación abierta cuenta con el apoyo de más de 120 compañías.

El chip de prueba combinó un chip IP Intel UCIe fabricado con Intel 3 y un chip IP Synopsys UCIe fabricado con el nodo de proceso TSMC N3E. Los chips se conectan mediante la tecnología de embalaje que utiliza un puente de interconexión de múltiples matrices (EMIB) integrado.

Anunciante

Aumentando el rendimiento y expandiendo la IA

Gelsinger destacó la gama de tecnología de IA disponible actualmente para los desarrolladores en todas las plataformas Intel y cómo esta variedad aumentará durante el próximo año.

Los recientes resultados de rendimiento de inferencia de MLPerf IA refuerzan aún más el compromiso de Intel de abordar cada fase del espectro de la IA, incluso una IA generativa más grande y desafiante y los grandes modelos de lenguaje. Los resultados también destacan el acelerador Gaudi2 como la alternativa viable en el mercado para las necesidades computacionales de IA. Gelsinger anunció que se construirá una gran supercomputadora de IA completamente basada en procesadores Xeon y 4,000 aceleradores de hardware de IA  Gaudi2, con Stability AI como cliente principal.

Intel también anticipó que los procesadores Xeon de 5ª generación aportarán una combinación de mejoras de rendimiento y memoria más rápida a los centros de datos de todo el mundo, mientras usa la misma cantidad de energía, cuando se lancen el 14 de diciembre. El Sierra Forest, que se lanzará en la primera mitad de 2024 con eficiencia E-core, ofrecerá 2,5 veces más densidad de rack y 2,4 veces más rendimiento por vatio que el Xeon de 4ª generación, e incluirá una versión con 288 núcleos2. Y el Granite Rapids, con rendimiento P-core, seguirá de cerca el lanzamiento del Sierra Forest, ofreciendo de 2 a 3 veces más rendimiento de IA en comparación con los Xeon de 4ª generación. .

Con vistas a 2025, la próxima generación del procesador E-core Xeon, cuyo nombre en clave es Clearwater Forest, llegará con el nodo de proceso 18A.

Presentando el AI PC con procesadores Intel Core Ultra

«La IA transformará, remodelará y reestructurará radicalmente la experiencia del PC, dando rienda suelta a la productividad y la creatividad personales gracias al poder de colaboración entre la nube y el PC», afirmó Gelsinger. «Estamos inaugurando una nueva era del PC con IA».

Esta nueva experiencia de PC se materializará con los próximos procesadores Core Ultra, cuyo nombre en clave es Meteor Lake, que contarán con la primera unidad de procesamiento neuronal (NPU) integrada de Intel, para acelerar la IA y la inferencia local en el PC con un consumo eficiente de energía. Gelsinger confirmó que el Core Ultra también se lanzará el 14 de diciembre.

Core Ultra representa un punto de inflexión en la trayectoria de los procesadores para cliente de Intel: es el primer diseño de chip para cliente con tecnología de empaque Foveros. Además de la NPU y de los grandes avances en eficiencia energética gracias a la tecnología de proceso Intel 4, el nuevo procesador aporta rendimiento de gráficos discretos con GPU Intel Arc integrada.

En el escenario, Gelsinger mostró una serie de nuevos casos de uso de PC con IA, y Jerry Kao, director de operaciones de Acer, ofreció un adelanto de una nueva laptop equipada con Core Ultra.

Core Ultra

 

Dando a los desarrolladores el control de la Siliconomía

«En el futuro, la IA deberá ofrecer más acceso, escalabilidad, visibilidad, transparencia y confianza a todo el ecosistema», afirmó Gelsinger.

Para ayudar a los desarrolladores a desvelar este futuro, Intel anunció:

–Disponibilidad general de la  Developer Cloud: ayudará a los desarrolladores a acelerar la IA utilizando las últimas innovaciones de hardware y software de Intel – incluyendo procesadores Intel Gaudi2 para aprendizaje profundo – y proporciona acceso a las plataformas más nuevas de hardware de Intel, como los procesadores Xeon Scalable de 5ª generación y las GPU Data Center GPU Max Series 1100 y 1550. Al utilizar la Developer Cloud, los desarrolladores pueden crear, probar y optimizar aplicaciones de IA y HPC. También pueden ejecutar cargas de trabajo de entrenamiento, optimización de modelos e inferencia de IA, de pequeña a gran escala, que se implementan con rendimiento y eficiencia. La Developer Cloud se basa en una infraestructura de software abierta con oneAPI – un modelo de programación abierto multiarquitectura y multiproveedor – para ofrecer opciones de hardware y modelos de programación no patentados, facilitando la computación acelerada y la reutilización y portabilidad del código.

–Versión 2023.1 del kit de herramientas OpenVINO: La actualización incluye modelos pre-entrenados y optimizados para integración con distintos sistemas operativos y soluciones en la nube, incluidos muchos modelos generativos de IA, como el modelo Llama 2 de Meta. En el escenario, compañías como AI.IO y Fit:Match demostraron cómo utilizan OpenVINO para acelerar sus aplicaciones: AI.IO para evaluar el rendimiento de los jugadores de fútbol; Fit:Match para para revolucionar las industrias minoristas y de bienestar para ayudar a los consumidores a encontrar las prendas que mejor les quedan.

–Proyecto Strata y el desarrollo de una plataforma de software nativa del edge: La plataforma se lanzará en 2024 con bloques de construcción modulares, ofertas de soporte y servicio premium. Se trata de un abordaje para escalar la infraestructura necesaria al edge inteligente y a la IA híbrida, reuniendo un ecosistema de aplicaciones verticales de Intel y de terceros. La solución permitirá a los desarrolladores crear, implementar, ejecutar, gestionar, conectar y proteger las infraestructuras y aplicaciones perimetrales distribuidas.

[email protected]

WhatsApp eSemanal 55 7360 5651

También te puede interesar:

La nueva joya de la corona de Intel: lanzó sus procesadores de 13ra generación Raptor Lake

Thales e Intel salvaguardan datos y cargas de trabajo en la nube

Día del programador: Los encargados de construir el futuro digital

Los riesgos del sesgo en los proyectos de IA

Publicaciones relacionadas

Mira también
Cerrar
Botón volver arriba
Share via
Copy link
Powered by Social Snap